Article,

Securing Emerging Nonvolatile Main Memory With Fast and Energy-Efficient AES In-Memory Implementation.

, , , , and .
IEEE Trans. Very Large Scale Integr. Syst., 26 (11): 2443-2455 (2018)

Meta data

Tags

Users

  • @dblp

Comments and Reviews