Article,

Recent Advances in Compute-in-Memory Support for SRAM Using Monolithic 3-D Integration.

, , , , and .
IEEE Micro, 39 (6): 28-37 (2019)

Meta data

Tags

Users

  • @dblp

Comments and Reviews