Inproceedings,

24% Power reduction by post-fabrication dual supply voltage control of 64 voltage domains in VDDmin limited ultra low voltage logic circuits.

, , , , , , , , , , , and .
ISQED, page 586-591. IEEE, (2012)

Meta data

Tags

Users

  • @dblp

Comments and Reviews