Author of the publication

An Efficient GCNs Accelerator Using 3D-Stacked Processing-in-Memory Architectures.

, , , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 43 (5): 1360-1373 (May 2024)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Distinguishing variance embedding., , and . Image Vis. Comput., 28 (6): 872-880 (2010)Some non-interior path-following methods based on a scaled central path for linear complementarity problems., , and . Comput. Optim. Appl., 46 (1): 31-49 (2010)Scalable concurrency debugging with distributed graph processing., , , , and . CGO, page 188-199. ACM, (2018)ReaDy: A ReRAM-Based Processing-in-Memory Accelerator for Dynamic Graph Convolutional Networks., , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 41 (11): 3567-3578 (2022)Combining local and global information for nonlinear dimensionality reduction., and . Neurocomputing, 72 (10-12): 2235-2241 (2009)ScalaGraph: A Scalable Accelerator for Massively Parallel Graph Processing., , , , , , , , and . HPCA, page 199-212. IEEE, (2022)Spara: An Energy-Efficient ReRAM-Based Accelerator for Sparse Graph Analytics Applications., , , , , , , and . IPDPS, page 696-707. IEEE, (2020)GraSU: A Fast Graph Update Library for FPGA-based Dynamic Graph Processing., , , , , , , , and . FPGA, page 149-159. ACM, (2021)A Data-Centric Accelerator for High-Performance Hypergraph Processing., , , , , , , , and . MICRO, page 1326-1341. IEEE, (2022)A General Offloading Approach for Near-DRAM Processing-In-Memory Architectures., , , , , , , , , and 1 other author(s). IPDPS, page 246-257. IEEE, (2022)