Autor der Publikation

Graph matching-based algorithms for array-based FPGA segmentation design and routing.

, , und . ASP-DAC, Seite 851-854. ACM, (2003)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Regularity-Aware Routability-Driven Macro Placement Methodology for Mixed-Size Circuits With Obstacles., , , , , und . IEEE Trans. Very Large Scale Integr. Syst., 27 (1): 57-68 (2019)A Systematic Design Methodology of Asynchronous SAR ADCs., , , und . IEEE Trans. Very Large Scale Integr. Syst., 24 (5): 1835-1848 (2016)Placement Density Aware Power Switch Planning Methodology for Power Gating Designs., und . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 34 (5): 766-777 (2015)Generic ILP-based approaches for time-multiplexed FPGA partitioning., , und . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 20 (10): 1266-1274 (2001)Co-synthesis of floorplanning and powerplanning in 3D ICs for multiple supply voltage designs., , und . DATE, Seite 1339-1344. IEEE, (2018)Placement with symmetry constraints for analog layout design using TCG-S., , , und . ASP-DAC, Seite 1135-1137. ACM Press, (2005)Voltage island-driven floorplanning considering level shifter placement., , , und . ASP-DAC, Seite 443-448. IEEE, (2012)Thermal-Aware Floorplanning and TSV-Planning for Mixed-Type Modules in a Fixed-Outline 3-D IC., , , , , und . IEEE Trans. Very Large Scale Integr. Syst., 29 (9): 1652-1664 (2021)Routability-Driven Orientation-Aware Analytical Placement for System in Package., , und . ICCAD, Seite 1-8. IEEE, (2023)HyPlace-3D: A Hybrid Placement Approach for 3D ICs Using Space Transformation Technique., , , und . ICCAD, Seite 1-8. IEEE, (2023)