Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Co-designed electro-optical integrated frontend circuits for high speed transceivers., , , , , , , , , and 14 other author(s). OECC/PSC, page 1-3. IEEE, (2022)A 4-to-1 240 Gb/s PAM-4 MUX with a 7-tap Mixed-Signal FFE in 55nm BiCMOS., , , , , and . CICC, page 1-2. IEEE, (2021)56 Gb/s electro-absorption modulation of a heterogeneously integrated InP-on-Si DFB laser diode., , , , , , and . OFC, page 1-3. IEEE, (2017)A 64 Gb/s PAM-4 transimpedance amplifier for optical links., , , , , and . OFC, page 1-3. IEEE, (2017)A 1.8-pJ/b, 12.5-25-Gb/s Wide Range All-Digital Clock and Data Recovery Circuit., , , , , , and . IEEE J. Solid State Circuits, 53 (2): 470-483 (2018)2 × 56 Gbps Electroabsorption Modulated III-V-on-Silicon DFB Laser., , , , , , , , and . ECOC, page 1-3. IEEE, (2017)112 Gbit/s single-polarization silicon coherent receiver with hybrid-integrated BiCMOS linear TIA., , , , , , , , and . ECOC, page 1-3. IEEE, (2015)A 6-bit 56-GSa/s DAC in 55 nm SiGe BiCMOS., , , , , , , and . BCICTS, page 1-4. IEEE, (2021)A 40-Gb/s 1.5-μm VCSEL link with a low-power SiGe VCSEL driver and TIA operated at 2.5 V., , , , , , , , , and 1 other author(s). OFC, page 1-3. IEEE, (2017)On-chip transmitter and receiver front-ends for ultra-broadband wired and optical-fiber communications., , , , , , , , , and 1 other author(s). OFC, page 1-3. IEEE, (2016)