Author of the publication

SM6: A 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based NLP Applications : The 33rd Hot Chips Symposium - August 22-24, 2021.

, , , , , , , , , and . HCS, page 1-13. IEEE, (2021)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A case for efficient accelerator design space exploration via Bayesian optimization., , , , , , and . ISLPED, page 1-6. IEEE, (2017)A 16-nm SoC for Noise-Robust Speech and NLP Edge AI Inference With Bayesian Sound Source Separation and Attention-Based DNNs., , , , , , , , , and . IEEE J. Solid State Circuits, 58 (2): 569-581 (February 2023)SMAUG: End-to-End Full-Stack Simulation Infrastructure for Deep Learning Workloads., , , , , and . ACM Trans. Archit. Code Optim., 17 (4): 39:1-39:26 (2020)Information contraction in noisy binary neural networks and its implications., , , and . CoRR, (2021)AnalogNets: ML-HW Co-Design of Noise-robust TinyML Models and Always-On Analog Compute-in-Memory Accelerator., , , , , , , , , and . CoRR, (2021)UDC: Unified DNAS for Compressible TinyML Models., , , , , and . CoRR, (2022)Noisy Machines: Understanding Noisy Neural Networks and Enhancing Robustness to Analog Hardware Errors Using Distillation., , , and . CoRR, (2020)Mobile Machine Learning Hardware at ARM: A Systems-on-Chip (SoC) Perspective., , and . CoRR, (2018)DNN Engine: A 28-nm Timing-Error Tolerant Sparse Deep Neural Network Processor for IoT Applications., , , and . IEEE J. Solid State Circuits, 53 (9): 2722-2731 (2018)Braum: Analyzing and Protecting Autonomous Machine Software Stack., , , , , and . ISSRE, page 85-96. IEEE, (2022)