Autor der Publikation

Application-aware Memory System for Fair and Efficient Execution of Concurrent GPGPU Applications.

, , , , , , und . GPGPU@ASPLOS, Seite 1. ACM, (2014)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Designing Efficient Heterogeneous Memory Architectures., , , , , und . IEEE Micro, 35 (4): 60-68 (2015)HMG: Extending Cache Coherence Protocols Across Modern Hierarchical Multi-GPU Systems., , , , , und . HPCA, Seite 582-595. IEEE, (2020)Many-Core vs. Many-Thread Machines: Stay Away From the Valley., , , , , und . IEEE Comput. Archit. Lett., 8 (1): 25-28 (2009)Routing table minimization for irregular mesh NoCs., , , und . DATE, Seite 942-947. EDA Consortium, San Jose, CA, USA, (2007)The Architectural Implications of Distributed Reinforcement Learning on CPU-GPU Systems., , , , , , und . CoRR, (2020)Application-aware Memory System for Fair and Efficient Execution of Concurrent GPGPU Applications., , , , , , und . GPGPU@ASPLOS, Seite 1. ACM, (2014)The Power of Priority: NoC Based Distributed Cache Coherency., , , , und . NOCS, Seite 117-126. IEEE Computer Society, (2007)Beyond the socket: NUMA-aware GPUs., , , , , , , und . MICRO, Seite 123-135. ACM, (2017)Understanding the Future of Energy Efficiency in Multi-Module GPUs., , , und . HPCA, Seite 519-532. IEEE, (2019)Scaling the Power Wall: A Path to Exascale., , , , , , , , , und 2 andere Autor(en). SC, Seite 830-841. IEEE Computer Society, (2014)