Autor der Publikation

Accurate Cost Estimation of Memory Systems Utilizing Machine Learning and Solutions from Computer Vision for Design Automation.

, , , , , , und . IEEE Trans. Computers, 69 (6): 856-867 (2020)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Digital Hardware Design Based on Metamodels and Model Transformations., und . VLSI-SoC (Selected Papers), Volume 508 von IFIP Advances in Information and Communication Technology, Seite 83-107. Springer, (2016)Protocol merging: a VHDL-based method for clock cycle minimizing and protocol preserving scheduling of IO-operations., , und . EURO-DAC, Seite 624-629. IEEE Computer Society, (1994)MetFI: Model-driven Fault Simulation Framework., , , , , und . CoRR, (2022)Fast and Accurate Model-Driven FPGA-based System-Level Fault Emulation., , , , , , , und . VLSI-SoC, Seite 1-6. IEEE, (2022)Modelling Peripheral Designs using FSM-like Notation for Complete Property Set Generation., , , , und . MCSoC, Seite 508-515. IEEE, (2023)Design centric modeling of digital hardware., , und . HLDVT, Seite 46-52. IEEE, (2016)MetaFS: Model-driven Fault Simulation Framework., , , , , , und . DFT, Seite 1-4. IEEE, (2022)A Machine Learning Approach for Area Prediction of Hardware Designs from Abstract Specifications., , , und . DSD, Seite 413-420. IEEE Computer Society, (2018)G-QED: Generalized QED Pre-silicon Verification beyond Non-Interfering Hardware Accelerators., , , , , , , , , und 2 andere Autor(en). DAC, Seite 1-6. IEEE, (2023)System-Level Specification and Design Using VHDL: A Case Study., und . CHDL, Volume A-32 von IFIP Transactions, Seite 505-522. North-Holland, (1993)