Author of the publication

Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores.

, , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 27 (6): 1404-1415 (2019)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Active Cooling Technique for Efficient Heat Mitigation in 3D-ICs., , and . VLSID, page 495-498. IEEE Computer Society, (2014)Online concurrent workload classification for multi-core energy management., , , and . DATE, page 621-624. IEEE, (2018)AdaMD: Adaptive Mapping and DVFS for Energy-Efficient Heterogeneous Multicores., , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 39 (10): 2206-2217 (2020)EdgeCoolingMode: An Agent Based Thermal Management Mechanism for DVFS Enabled Heterogeneous MPSoCs., , , , , and . VLSID, page 19-24. IEEE, (2019)Energy-Efficient Run-Time Mapping and Thread Partitioning of Concurrent OpenCL Applications on CPU-GPU MPSoCs., , , , and . ACM Trans. Embed. Comput. Syst., 16 (5s): 147:1-147:22 (2017)Dynamic Energy and Thermal Management of Multi-core Mobile Platforms: A Survey., , , , , and . IEEE Des. Test, 37 (5): 25-33 (2020)Predictive Thermal Management for Energy-Efficient Execution of Concurrent Applications on Heterogeneous Multicores., , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 27 (6): 1404-1415 (2019)Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends., , , , and . J. Low Power Electron., 13 (3): 310-325 (2017)Workload-Aware Runtime Energy Management for HPC Systems., , , and . HPCS, page 292-299. IEEE, (2018)Empirical CPU power modelling and estimation in the gem5 simulator., , , , , and . PATMOS, page 1-8. IEEE, (2017)