Author of the publication

Thermal analysis of stochastic DVFS-enabled multicore real-time systems.

, and . J. Supercomput., 71 (12): 4594-4622 (2015)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A Method for Performance Analysis of Earliest-Deadline-First Scheduling Policy., and . J. Supercomput., 37 (2): 197-222 (2006)A real-time data aggregation method for fault-tolerant wireless sensor networks., , and . SAC, page 605-612. ACM, (2012)Anomaly detection in embedded systems using simultaneous power and temperature monitoring., , and . ISCISC, page 115-119. IEEE, (2014)Efficient On-device Transfer Learning using Activation Memory Reduction., , , and . FMEC, page 210-215. IEEE, (2023)A Simulated Annealing Approach for Maximizing the Accrued Utility of an Isochronal Soft Real-Time System., , , and . ICECS, page 191-195. IEEE Computer Society, (2009)Analytical architecture-based performability evaluation of real-time software systems., and . J. Syst. Softw., 86 (1): 233-246 (2013)Analytical Program Power Characterization for Battery Depletion-time Estimation., , and . ACM Trans. Embed. Comput. Syst., 20 (2): 9:1-9:9 (2021)On the Optimality of RM and EDF for Non-Preemptive Real-Time Harmonic Tasks., , , and . RTNS, page 331. ACM, (2014)Joint management of processing and cooling power based on inaccurate thermal information in a stochastic real-time system., , and . RTNS, page 45-54. ACM, (2015)The Proceedings of First Work-in-Progress Session of The CSI International Symposium on Real-Time and Embedded Systems and Technologies., , , , , , and . CoRR, (2019)