Autor der Publikation

A portable multi-pitch e-drum based on printed flexible pressure sensors.

, , , , und . DATE, Seite 1082-1087. IEEE Computer Society, (2010)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Insole pedometer with piezoelectric energy harvester and 2V organic digital and analog circuits., , , , , , , , , und 4 andere Autor(en). ISSCC, Seite 308-310. IEEE, (2012)A portable multi-pitch e-drum based on printed flexible pressure sensors., , , , und . DATE, Seite 1082-1087. IEEE Computer Society, (2010)Silicon Mach-Zehnder Interferometer modulator with PAM-4 data modulation at 64 Gb/s., , , , , , , , und . MWSCAS, Seite 1-3. IEEE, (2015)DWDM nanophotonic interconnects: toward terabit/s chip-scale serial link., , , , , , , und . MWSCAS, Seite 1-4. IEEE, (2015)Robust Design of Large Area Flexible Electronics via Compressed Sensing., , , , und . DAC, Seite 1-6. IEEE, (2020)Robust design and design automation for flexible hybrid electronics., , , , , und . ISCAS, Seite 1-4. IEEE, (2017)Process-variation tolerant flexible circuit for wearable electronics., , und . ISCAS, Seite 353-356. IEEE, (2016)Printed circuits on flexible substrates: opportunities and challenges (invited paper)., , und . NOCS, Seite 1-4. IEEE, (2016)Process Design Kit and Design Automation for Flexible Hybrid Electronics., , , , , , , , und . VLSI-DAT, Seite 1-2. IEEE, (2019)Process design kit for flexible hybrid electronics., , , , , und . ASP-DAC, Seite 651-657. IEEE, (2018)