Autor der Publikation

Branch Behavior Characterization for Multimedia Applications.

, , und . Asia-Pacific Computer Systems Architecture Conference, Volume 4186 von Lecture Notes in Computer Science, Seite 523-530. Springer, (2006)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs., , , und . DATE, Seite 1-6. European Design and Automation Association, (2014)PUMP: Profiling-free Unified Memory Prefetcher for Large DNN Model Support., , , , und . ASP-DAC, Seite 122-127. IEEE, (2022)SECRET: Selective error correction for refresh energy reduction in DRAMs., , , , und . ICCD, Seite 67-74. IEEE Computer Society, (2012)Distributed memory interface synthesis for Network-on-Chips with 3D-stacked DRAMs., , und . ICCAD, Seite 458-465. ACM, (2012)Thermal-aware memory system synthesis for MPSoCs with 3D-stacked hybrid memories., , und . SAC, Seite 546-553. ACM, (2020)A New Modulo (2n+1) Multiplier for IDEA., , und . Security and Management, Seite 318-324. CSREA Press, (2004)Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs., , , und . RACS, Seite 430-436. ACM, (2015)Cache leakage control mechanism for hard real-time systems., , , und . CASES, Seite 248-256. ACM, (2007)Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memories., , und . RACS, Seite 243-248. ACM, (2018)PM-COSYN: PE and memory co-synthesis for MPSoCs., , und . DATE, Seite 1590-1595. IEEE Computer Society, (2010)