Author of the publication

Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoSTM/3D ICs.

, , , , and . VLSI-DAT, page 1-4. IEEE, (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoSTM/3D ICs., , , , and . VLSI-DAT, page 1-4. IEEE, (2014)Challenges and Solutions for 3D Fabric: A Foundry Perspective.. ISPD, page 93. ACM, (2022)IEEE P1500-Compliant Test Wrapper Design for Hierarchical Cores., , , and . ITC, page 1203-1212. IEEE Computer Society, (2004)Effective and Efficient Test Architecture Design for SOCs., and . ITC, page 529-538. IEEE Computer Society, (2002)Comparing the effectiveness of deterministic bridge fault and multiple-detect stuck fault patterns for physical bridge defects: A simulation and silicon study., , and . ITC, page 1-10. IEEE Computer Society, (2009)EDA solutions to new-defect detection in advanced process technologies., , , , , , and . DATE, page 123-128. IEEE, (2012)Test Infrastructure Design for the Nexperia? Home Platform PNX8550 System Chip., , , , and . DATE, page 108-113. IEEE Computer Society, (2004)Innovative Practices Track: Test of 3D ICs & Chiplets., , and . VTS, page 1. IEEE, (2022)A Case Study on IEEE 1838 Compliant Multi-Die 3DIC DFT Implementation., , , , , , , , and . ITC, page 11-20. IEEE, (2023)Cluster-Based Test Architecture Design for System-on-Chip., and . VTS, page 259-264. IEEE Computer Society, (2002)