Autor der Publikation

Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based AI Edge Processors.

, , , , , , , , , , , , , , , , , , , und . IEEE J. Solid State Circuits, 55 (1): 203-215 (2020)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based AI Edge Processors., , , , , , , , , und 10 andere Autor(en). IEEE J. Solid State Circuits, 55 (1): 203-215 (2020)15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices., , , , , , , , , und 10 andere Autor(en). ISSCC, Seite 244-246. IEEE, (2020)Challenges in Circuit Designs of Nonvolatile-memory based computing-in-memory for AI Edge Devices., und . ISOCC, Seite 164-165. IEEE, (2019)A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on Vision., , , , , , , , , und 7 andere Autor(en). VLSI Technology and Circuits, Seite 1-2. IEEE, (2023)A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny AI Edge Devices., , , , , , , , , und 8 andere Autor(en). ISSCC, Seite 245-247. IEEE, (2021)Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices., , , , und . IEEE Trans. Circuits Syst. I Regul. Pap., 68 (5): 1773-1786 (2021)A 28-nm 320-Kb TCAM Macro Using Split-Controlled Single-Load 14T Cell and Triple-Margin Voltage Sense Amplifier., , , , , und . IEEE J. Solid State Circuits, 54 (10): 2743-2753 (2019)33.2 A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing., , , , , , , , , und 5 andere Autor(en). ISSCC, Seite 500-502. IEEE, (2020)A 22-nm 1-Mb 1024-b Read Data-Protected STT-MRAM Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device., , , , , , , , , und 7 andere Autor(en). IEEE J. Solid State Circuits, 57 (6): 1936-1949 (2022)A 12nm 137 TOPS/W Digital Compute-In-Memory using Foundry 8T SRAM Bitcell supporting 16 Kernel Weight Sets for AI Edge Applications., , , , , , , , , und 1 andere Autor(en). VLSI Technology and Circuits, Seite 1-2. IEEE, (2023)