Author of the publication

SNEAP: A Fast and Efficient Toolchain for Mapping Large-Scale Spiking Neural Network onto NoC-based Neuromorphic Platform.

, , , , , , , and . ACM Great Lakes Symposium on VLSI, page 9-14. ACM, (2020)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

ASIE: An Asynchronous SNN Inference Engine for AER Events Processing., , , , , , and . ACM J. Emerg. Technol. Comput. Syst., 16 (4): 39:1-39:22 (2020)Liquid State Machine Applications Mapping for NoC-Based Neuromorphic Platforms., , , and . ACA, volume 1256 of Communications in Computer and Information Science, page 277-289. Springer, (2020)CWA-LSTM: A Stock Price Prediction Model Based on Causal Weight Adjustment., , , , and . ICIC (5), volume 14090 of Lecture Notes in Computer Science, page 421-432. Springer, (2023)A Novel Chaining Approach for Direct Control Transfer Instructions., , and . ICPADS, page 664-669. IEEE Computer Society, (2010)A Collaboration Services Scheduling Method Based on Intelligent Genetic Algorithm., , , and . ChineseCSCW, volume 917 of Communications in Computer and Information Science, page 581-587. Springer, (2018)Hierarchical Mapping of Large-Scale Spiking Convolutional Neural Networks Onto Resource-Constrained Neuromorphic Processor., , , , , , , , and . IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 43 (5): 1442-1455 (May 2024)LLM-Based Processor Verification: A Case Study for Neuronnorphic Processor., , , , , , , , , and . DATE, page 1-6. IEEE, (2024)Monaural Speech Separation on Many Integrated Core Architecture., , , and . NCCET, volume 666 of Communications in Computer and Information Science, page 149-156. Springer, (2016)HashHeat: An O(C) Complexity Hashing-based Filter for Dynamic Vision Sensor., , , , and . ASP-DAC, page 452-457. IEEE, (2020)Path-Based Multicast Routing for Network-on-Chip of the Neuromorphic Processor., , , , , , , and . J. Comput. Sci. Technol., 38 (5): 1098-1112 (September 2023)