From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

LLM: Realizing Low-Latency Memory by Exploiting Embedded Silicon Photonics for Irregular Workloads., , , , , , , , и . ISC, том 13289 из Lecture Notes in Computer Science, стр. 44-64. Springer, (2022)Towards Energy-Efficient High-Throughput Photonic NoCs for 2.5D Integrated Systems: A Case for AWGRs., , , , и . NOCS, стр. 5:1-5:8. IEEE, (2018)Experimental Demonstration of a 64-Port Wavelength Routing Thin-CLOS System for Data Center Switching Architectures., , , , , , , и . JOCN, 10 (7): B49-B57 (2018)Architecture and performance studies of 3D-Hyper-FleX-LION for reconfigurable all-to-all HPC networks., , , , , и . SC, стр. 26. IEEE/ACM, (2020)HTA: A Scalable High-Throughput Accelerator for Irregular HPC Workloads., , , , , и . ISC, том 12728 из Lecture Notes in Computer Science, стр. 176-194. Springer, (2021)Scalable High Performance Memory Subsystem with Optical Interconnects. University of California, Davis, USA, (2021)AWGR-based optical processor-to-memory communication for low-latency, low-energy vault accesses., , , и . MEMSYS, стр. 269-278. ACM, (2018)Enabling scalable chiplet-based uniform memory architectures with silicon photonics., , , и . MEMSYS, стр. 222-334. ACM, (2019)Flex-LIONS: A Scalable Silicon Photonic Bandwidth-Reconfigurable Optical Switch Fabric., , , , и . OECC/PSC, стр. 1-3. IEEE, (2019)3D photonics as enabling technology for deep 3D DRAM stacking., , , , , , и . MEMSYS, стр. 206-221. ACM, (2019)