Autor der Publikation

A Cost-Effective On-Chip Power Impedance Measurement (PIM) System in 7nm FinFET for HPC Applications.

, , , , , und . VLSI Circuits, Seite 1-2. IEEE, (2021)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

A Cost-Effective On-Chip Power Impedance Measurement (PIM) System in 7nm FinFET for HPC Applications., , , , , und . VLSI Circuits, Seite 1-2. IEEE, (2021)A 56Gb/s Long Reach Fully Adaptive Wireline PAM-4 Transceiver in 7nm FinFET., , , , , , , , , und 5 andere Autor(en). VLSI Circuits, Seite 270-. IEEE, (2019)A Digital Bang-Bang Phase-Locked Loop with Background Injection Timing Calibration and Automatic Loop Gain Control in 7NM FinFET CMOS., , , , , und . VLSI Circuits, Seite 179-180. IEEE, (2018)A 387.6fs Integrated Jitter and -80dBc Reference Spurs Ring based PLL with Track- and-Hold Charge Pump and Automatic Loop Gain Control in 7nm FinFET CMOS., , , , , und . VLSI Circuits, Seite 164-. IEEE, (2019)A 0.031mm2, 910fs, 0.5-4GHz injection type SOC PLL with 90dB built-in supply noise rejection in 10nm FinFET CMOS., , , , und . CICC, Seite 1-4. IEEE, (2017)19.6 A 0.2V trifilar-coil DCO with DC-DC converter in 16nm FinFET CMOS with 188dB FOM, 1.3kHz resolution, and frequency pushing of 38MHz/V for energy harvesting applications., , , , , , , und . ISSCC, Seite 332-333. IEEE, (2017)A 0.034mm2, 725fs RMS jitter, 1.8%/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital PLL in 10nm FinFET CMOS., , , , , , , , , und 3 andere Autor(en). VLSI Circuits, Seite 1-2. IEEE, (2016)A 201 mV/pH, 375 fps and 512×576 CMOS ISFET sensor in 65nm CMOS technology., , , , , , , , und . CICC, Seite 1-4. IEEE, (2015)A Low-Power 0.5-6.6 Gb/s Wireline Transceiver Embedded in Low-Cost 28 nm FPGAs., , , , , , , , , und 4 andere Autor(en). IEEE J. Solid State Circuits, 48 (11): 2582-2594 (2013)Embedded PLL Phase Noise Measurement Based on a PFD/CP MASH 1-1-1 ΔΣ Time-to-Digital Converter in 7nm CMOS., , , , , , , , , und 1 andere Autor(en). VLSI Circuits, Seite 1-2. IEEE, (2020)