Author of the publication

HiPRIME: hierarchical and passivity reserved interconnect macromodeling engine for RLKC power delivery.

, , , and . DAC, page 379-384. ACM, (2002)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Redundant via insertion under timing constraints., and . ISQED, page 627-633. IEEE, (2011)An efficient method for analyzing on-chip thermal reliability considering process variations., and . ACM Trans. Design Autom. Electr. Syst., 18 (3): 41:1-41:32 (2013)Incremental transient simulation of power grid., , , and . ISPD, page 93-100. ACM, (2014)Integrating E-services with a Telecommunication E-commerce using Service-Oriented Architecture., and . J. Softw., 3 (9): 60-67 (2008)Post-routing redundant via insertion with wire spreading capability., , and . ASP-DAC, page 468-473. IEEE, (2009)A Process-Oriented System Dynamics Model for Software Development Project Prediction., , , and . NCM (2), page 126-131. IEEE Computer Society, (2008)978-0-7695-3322-3.Linear Time Hierarchical Capacitance Extraction without Multipole Expansion., , , , and . ICCD, page 98-103. IEEE Computer Society, (2001)A multiple supply voltage based power reduction method in 3-D ICs considering process variations and thermal effects., , and . ASP-DAC, page 55-60. IEEE, (2009)On-chip statistical hot-spot estimation using mixed-mesh statistical polynomial expression generating and skew-normal based moment matching techniques., , and . ASP-DAC, page 603-608. IEEE, (2012)NUMANA: a hybrid <u>num</u>erical and <u>ana</u>lytical thermal simulator for 3-D ICs., , , and . DATE, page 1379-1384. EDA Consortium San Jose, CA, USA / ACM DL, (2013)