Author of the publication

A 10 GHz 56 fsrms-integrated-jitter and -247 dB FOM ring-VCO based injection-locked clock multiplier with a continuous frequency-tracking loop in 65 nm CMOS.

, , , , , , , , and . CICC, page 1-4. IEEE, (2017)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 40-80 Gb/s PAM4 wireline transmitter in 65nm CMOS technology., , , , , , , and . MWSCAS, page 539-542. IEEE, (2017)A novel clock and data recovery scheme for 10Gbps source synchronous receiver in 65nm CMOS., , , , , , and . MWSCAS, page 932-935. IEEE, (2012)A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS., , , , , and . ISCAS, page 309-312. IEEE, (2012)A 40Gb/s 39mW 3-tap adaptive closed-loop decision feedback equalizer in 65nm CMOS., , , , , , and . MWSCAS, page 1-4. IEEE, (2015)A Robust Visual System for Small Target Motion Detection Against Cluttered Moving Backgrounds., , , and . CoRR, (2019)A 80 mW 40 Gb/s Transmitter With Automatic Serializing Time Window Search and 2-tap Pre-Emphasis in 65 nm CMOS Technology., , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 62-I (5): 1441-1450 (2015)Design of high-speed SerDes transceiver for chip-to-chip communications in CMOS process.. University of Lincoln, UK, (2018)British Library, EThOS.A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology., , , , , , and . ISCAS, page 313-316. IEEE, (2012)A current-to-voltage integrator using area-efficient correlated double sampling technique., , , and . ISCAS, page 2167-2170. IEEE, (2012)A 50Gb/s low power PAM4 SerDes transmitter with 4-tap FFE and high linearity output voltage in 65nm CMOS technology., , , , and . ASICON, page 1-4. IEEE, (2015)