Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Speculative interference attacks: breaking invisible speculation schemes., , , , , , , , , and 6 other author(s). ASPLOS, page 1046-1060. ACM, (2021)Upper Extremity Kinematic Parameters: Reference Ranges Based on Kinect V2., , , , , , and . M2VIP, page 30-35. IEEE, (2021)Design and Workspace Analysis of a Higher Kinematic Pair Constrained Cable-Driven 6-UPS Bionic Parallel Chewing Robot., , , , and . M2VIP, page 328-333. IEEE, (2021)Speculative Data-Oblivious Execution: Mobilizing Safe Prediction For Safe and Efficient Speculative Execution., , , , and . ISCA, page 707-720. IEEE, (2020)Data Oblivious ISA Extensions for Side Channel-Resistant and High Performance Computing., , , and . NDSS, The Internet Society, (2019)All Your PC Are Belong to Us: Exploiting Non-control-Transfer Instruction BTB Updates for Dynamic PC Extraction., , and . ISCA, page 65:1-65:14. ACM, (2023)Speculative Taint Tracking (STT): A Comprehensive Protection for Speculatively Accessed Data., , , , , and . MICRO, page 954-968. ACM, (2019)Speculation Invariance (InvarSpec): Faster Safe Execution Through Program Analysis., , , , , , , and . MICRO, page 1138-1152. IEEE, (2020)Synchronization Storage Channels (S2C): Timer-less Cache Side-Channel Attacks on the Apple M1 via Hardware Synchronization Instructions., , , , and . USENIX Security Symposium, page 1973-1990. USENIX Association, (2023)Speculative Privacy Tracking (SPT): Leaking Information From Speculative Execution Without Compromising Privacy., , , and . MICRO, page 607-622. ACM, (2021)