Author of the publication

Automatic characterization and modeling of power consumption in static RAMs.

, , and . ISLPED, page 112-114. ACM, (1998)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

e-Mobility the next frontier for automotive industry., , and . DATE, page 1745-1748. EDA Consortium San Jose, CA, USA / ACM DL, (2013)Branch prediction techniques for low-power VLIW processors., , , , and . ACM Great Lakes Symposium on VLSI, page 225-228. ACM, (2003)Low-power branch prediction techniques for VLIW architectures: a compiler-hints based approach., , , , , and . Integr., 38 (3): 515-524 (2005)Low-power technology mapping for mixed-swing logic., , , and . ISLPED, page 291-294. ACM, (2001)An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores., , , , , and . DATE, page 1128. IEEE Computer Society, (2002)Low-power design tools: are EDA vendors taking this matter seriously?, , , , , and . DATE, page 1227. European Design and Automation Association, Leuven, Belgium, (2006)Energy/Performance Evaluation of the Multithreaded Extension of a Multicluster VLIW Processor., , , and . CAMP, page 265-270. IEEE Computer Society, (2005)Parallel Mixed-Level Power Simulation Based on Spatio-Temporal Circuit Partitioning., , and . DAC, page 562-567. ACM Press, (1999)Low Effort, High Accuracy Network-on-Chip Power Macro Modeling., , and . PATMOS, volume 3254 of Lecture Notes in Computer Science, page 541-552. Springer, (2004)Energy estimation and optimization of embedded VLIW processors based on instruction clustering., , , , , and . DAC, page 886-891. ACM, (2002)