Author of the publication

An 1.92mW Feature Reuse Engine based on inter-frame similarity for low-power object recognition in video frames.

, , and . ISCAS, page 758-761. IEEE, (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A multi-modal and tunable Radial-Basis-Funtion circuit with supply and temperature compensation., , , , and . ISCAS, page 1608-1611. IEEE, (2013)18.1 A 2.71nJ/pixel 3D-stacked gaze-activated object-recognition system for low-power mobile HMD applications., , , , , , and . ISSCC, page 1-3. IEEE, (2015)A 32.8mW 60fps cortical vision processor for spatio-temporal action recognition., , , and . ISCAS, page 1002-1005. IEEE, (2013)A 320mW 342GOPS real-time moving object recognition processor for HD 720p video streams., , , , , and . ISSCC, page 220-222. IEEE, (2012)An Augmented Reality Processor with a Congestion-Aware Network-on-Chip Scheduler., , , , , , , and . IEEE Micro, 34 (6): 31-41 (2014)18.3 A 0.5V 54μW ultra-low-power recognition processor with 93.5% accuracy geometric vocabulary tree and 47.5% database compression., , and . ISSCC, page 1-3. IEEE, (2015)A 0.5-degree error 10mW CMOS image sensor-based gaze estimation processor with logarithmic processing., , , and . VLSIC, page 46-. IEEE, (2015)An 8.3mW 1.6Msamples/s multi-modal event-driven speech enhancement processor for robust speech recognition in smart glasses., , , and . ESSCIRC, page 117-120. IEEE, (2016)A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams., , , , , , , and . IEEE J. Solid State Circuits, 48 (1): 33-45 (2013)A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition., , , , , , , and . ISSCC, page 168-169. IEEE, (2013)