Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design., , , , und . ACM Trans. Design Autom. Electr. Syst., 24 (4): 42:1-42:19 (2019)Random Test Generation With Input Cube Avoidance., und . IEEE Trans. Very Large Scale Integr. Syst., 17 (1): 45-54 (2009)Path Selection for Transition Path Delay Faults., und . IEEE Trans. Very Large Scale Integr. Syst., 18 (3): 401-409 (2010)Resynthesis of combinational logic circuits for improved path delay fault testability using comparison units., und . IEEE Trans. Very Large Scale Integr. Syst., 9 (5): 679-689 (2001)A Repair-for-Diagnosis Methodology for Logic Circuits., , , und . IEEE Trans. Very Large Scale Integr. Syst., 26 (11): 2254-2267 (2018)Random error and burst correction by iterated codes., und . IEEE Trans. Inf. Theory, 18 (1): 182-185 (1972)Embedded Totally Self-Checking Checkers: A Practical Design., und . IEEE Des. Test Comput., 7 (4): 5-12 (1990)Test compaction methods for transition faults under transparent-scan., und . IET Comput. Digit. Tech., 3 (4): 315-328 (2009)Static test compaction for diagnostic test sets of full-scan circuits., und . IET Comput. Digit. Tech., 4 (5): 365-373 (2010)Same/different fault dictionary: an extended pass/fail fault dictionary with improved diagnostic resolution., und . IET Comput. Digit. Tech., 3 (1): 85-93 (2009)