Autor der Publikation

Layout Resynthesis by Applying Design-for-manufacturability Guidelines to Avoid Low-coverage Areas of a Cell-based Design.

, , , , und . ACM Trans. Design Autom. Electr. Syst., 24 (4): 42:1-42:19 (2019)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Reordering Tests for Efficient Fail Data Collection and Tester Time Reduction., , , und . IEEE Trans. Very Large Scale Integr. Syst., 25 (4): 1497-1505 (2017)Diagnostic simulation of stuck-at faults in combinational circuits., , und . J. Electron. Test., 8 (1): 87-97 (1996)Test reordering for improved scan chain diagnosis using an enhanced defect diagnosis procedure., , , und . ITC, Seite 1-9. IEEE, (2017)Diagnosis meets Physical Failure Analysis: What is needed to succeed?. ITC, Seite 1442. IEEE Computer Society, (2004)Observation Point Placement for Improved Logic Diagnosis based on Large Sets of Candidate Faults., , und . VTS, Seite 1-6. IEEE, (2019)Simulation- and Deduction-Based Techniques for Fault Diagnosis. University of Illinois Urbana-Champaign, USA, (1997)Fault Diagnosis and Fault Model Aliasing., , und . ISVLSI, Seite 206-211. IEEE Computer Society, (2005)Z-DFD: Design-for-Diagnosability Based on the Concept of Z-Detection., , und . ITC, Seite 489-497. IEEE Computer Society, (2004)Diagnostic Simulation of Sequential Circuits Using Fault Sampling., , und . VLSI Design, Seite 476-481. IEEE Computer Society, (1998)Extraction Error Modeling and Automated Model Debugging in High-Performance Low Power Custom Designs., , , und . DATE, Seite 996-1001. IEEE Computer Society, (2005)