Author of the publication

A 65nm 1Mb nonvolatile computing-in-memory ReRAM macro with sub-16ns multiply-and-accumulate for binary DNN AI edge processors.

, , , , , , , , , , , , , , , , and . ISSCC, page 494-496. IEEE, (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Embedded 1-Mb ReRAM-Based Computing-in- Memory Macro With Multibit Input and Weight for CNN-Based AI Edge Processors., , , , , , , , , and 10 other author(s). IEEE J. Solid State Circuits, 55 (1): 203-215 (2020)Challenges in Circuit Designs of Nonvolatile-memory based computing-in-memory for AI Edge Devices., and . ISOCC, page 164-165. IEEE, (2019)15.4 A 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit MAC Computing for Tiny AI Edge Devices., , , , , , , , , and 10 other author(s). ISSCC, page 244-246. IEEE, (2020)A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on Vision., , , , , , , , , and 7 other author(s). VLSI Technology and Circuits, page 1-2. IEEE, (2023)A 22nm 4Mb 8b-Precision ReRAM Computing-in-Memory Macro with 11.91 to 195.7TOPS/W for Tiny AI Edge Devices., , , , , , , , , and 8 other author(s). ISSCC, page 245-247. IEEE, (2021)Challenges and Trends of SRAM-Based Computing-In-Memory for AI Edge Devices., , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 68 (5): 1773-1786 (2021)A 28-nm 320-Kb TCAM Macro Using Split-Controlled Single-Load 14T Cell and Triple-Margin Voltage Sense Amplifier., , , , , and . IEEE J. Solid State Circuits, 54 (10): 2743-2753 (2019)33.2 A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing., , , , , , , , , and 5 other author(s). ISSCC, page 500-502. IEEE, (2020)A 22-nm 1-Mb 1024-b Read Data-Protected STT-MRAM Macro With Near-Memory Shift-and-Rotate Functionality and 42.6-GB/s Read Bandwidth for Security-Aware Mobile Device., , , , , , , , , and 7 other author(s). IEEE J. Solid State Circuits, 57 (6): 1936-1949 (2022)A 12nm 137 TOPS/W Digital Compute-In-Memory using Foundry 8T SRAM Bitcell supporting 16 Kernel Weight Sets for AI Edge Applications., , , , , , , , , and 1 other author(s). VLSI Technology and Circuits, page 1-2. IEEE, (2023)