Author of the publication

Speculative inter-thread store-to-load forwarding in SMT architectures.

, , , and . J. Parallel Distributed Comput., (March 2023)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Understanding Selective Delay as a Method for Efficient Secure Speculative Execution., , , , and . IEEE Trans. Computers, 69 (11): 1584-1595 (2020)Evaluating the Potential Applications of Quaternary Logic for Approximate Computing., , , and . ACM J. Emerg. Technol. Comput. Syst., 16 (1): 5:1-5:25 (2020)Selectively Delaying Instructions to Prevent Microarchitectural Replay Attacks., , and . CoRR, (2021)Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit., , , and . J. Signal Process. Syst., 91 (3-4): 379-397 (2019)Where replacement algorithms fail: a thorough analysis., , and . Conf. Computing Frontiers, page 141-150. ACM, (2010)Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power., , , and . PACS, volume 2008 of Lecture Notes in Computer Science, page 82-96. Springer, (2000)Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions., , , and . IEEE Comput. Archit. Lett., 20 (2): 162-165 (2021)Splash-4: A Modern Benchmark Suite with Lock-Free Constructs., , , and . IISWC, page 51-64. IEEE, (2022)Filter caching for free: the untapped potential of the store-buffer., , , and . ISCA, page 436-448. ACM, (2019)DataScalar Architectures., , and . ISCA, page 338-349. ACM, (1997)