Author of the publication

Using Fast and Accurate Simulation to Explore Hardware/Software Trade-offs in the Multi-Core Era.

, , , , , and . PARCO, volume 22 of Advances in Parallel Computing, page 343-350. IOS Press, (2011)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

The Forward Slice Core: A High-Performance, Yet Low-Complexity Microarchitecture., , , and . ACM Trans. Archit. Code Optim., 19 (2): 17:1-17:25 (2022)Low-level behavioral analysis of the JVT/AVC decoder., , , , and . VCIP, volume 5308 of SPIE Proceedings, SPIE, (2004)Shared resource aware scheduling on power-constrained tiled many-core processors., , , , , and . Conf. Computing Frontiers, page 365-368. ACM, (2016)Accurate memory data flow modeling in statistical simulation., , and . ICS, page 87-96. ACM, (2006)Automatic SMT threading for OpenMP applications on the Intel Xeon Phi co-processor., , , , , and . ROSS@ICS, page 7:1-7:7. ACM, (2014)Cole: compiler optimization level exploration., and . CGO, page 165-174. ACM, (2008)Chrysso: an integrated power manager for constrained many-core processors., , , , , , , and . Conf. Computing Frontiers, page 19:1-19:8. ACM, (2015)Offline Phase Analysis and Optimization for Multi-configuration Processors., , and . SAMOS, volume 3553 of Lecture Notes in Computer Science, page 202-211. Springer, (2005)Exploiting program phase behavior for energy reduction on multi-configuration processors., , and . J. Syst. Archit., 53 (8): 489-500 (2007)Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation., , and . SC, page 52:1-52:12. ACM, (2011)