Author of the publication

A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management.

, , , , , , , , , , , , , and . ISSCC, page 342-343. IEEE, (2023)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology., , , , , and . ASPLOS, page 674-686. ACM, (2021)A 12nm 18.1TFLOPs/W Sparse Transformer Processor with Entropy-Based Early Exit, Mixed-Precision Predication and Fine-Grained Power Management., , , , , , , , , and 4 other author(s). ISSCC, page 342-343. IEEE, (2023)SM6: A 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based NLP Applications : The 33rd Hot Chips Symposium - August 22-24, 2021., , , , , , , , , and . HCS, page 1-13. IEEE, (2021)GoldenEye: A Platform for Evaluating Emerging Numerical Data Formats in DNN Accelerators., , , , and . DSN, page 206-214. IEEE, (2022)Quantifying and Maximizing the Benefits of Back-End Noise Adaption on Attention-Based Speech Recognition Models., , and . CoRR, (2021)EdgeBERT: Sentence-Level Energy Optimizations for Latency-Aware Multi-Task NLP Inference., , , , , , , , , and 1 other author(s). MICRO, page 830-844. ACM, (2021)A 3mm2 Programmable Bayesian Inference Accelerator for Unsupervised Machine Perception using Parallel Gibbs Sampling in 16nm., , , , , , , and . VLSI Circuits, page 1-2. IEEE, (2020)ASAP: automatic synthesis of area-efficient and precision-aware CGRAs., , , , , , , , , and . ICS, page 4:1-4:13. ACM, (2022)9.8 A 25mm2 SoC for IoT Devices with 18ms Noise-Robust Speech-to-Text Latency via Bayesian Speech Denoising and Attention-Based Sequence-to-Sequence DNN Speech Recognition in 16nm FinFET., , , , , , , , , and . ISSCC, page 158-160. IEEE, (2021)EdgeBERT: Optimizing On-Chip Inference for Multi-Task NLP., , , , , , , , and . CoRR, (2020)