Autor der Publikation

Variation-aware thermal characterization and management of multi-core architectures.

, und . ICCD, Seite 280-285. IEEE Computer Society, (2008)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Thermal-aware task scheduling at the system software level., , , , , und . ISLPED, Seite 213-218. ACM, (2007)Power and thermal characterization of POWER6 system., , , , , , , , , und . PACT, Seite 7-18. ACM, (2010)Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights., , , , , , , , , und 6 andere Autor(en). ICCD, Seite 593-596. IEEE Computer Society, (2017)Measurement-Driven Methodology for Evaluating Processor Heterogeneity Options for Power-Performance Efficiency., , , und . ISLPED, Seite 284-289. ACM, (2016)Skipper: a microarchitecture for exploiting control-flow independence., und . MICRO, Seite 4-15. ACM/IEEE Computer Society, (2001)Power-efficient, reliable microprocessor architectures: modeling and design methods., , , , , , , , , und 5 andere Autor(en). ACM Great Lakes Symposium on VLSI, Seite 299-304. ACM, (2010)Quantitative evaluation of soft error injection techniques for robust system design., , , , und . DAC, Seite 101:1-101:10. ACM, (2013)Understanding the propagation of transient errors in HPC applications., , , , , und . SC, Seite 72:1-72:12. ACM, (2015)Cell GC: using the cell synergistic processor as a garbage collection coprocessor., und . VEE, Seite 141-150. ACM, (2008)Soft error resiliency characterization and improvement on IBM BlueGene/Q processor using accelerated proton irradiation., , , , , , , , , und 2 andere Autor(en). ITC, Seite 1-6. IEEE Computer Society, (2014)