Autor der Publikation

13.5 A 64Gb/s/pin PAM4 Single-Ended Transmitter with a Merged Pre-Emphasis Capacitive-Peaking Crosstalk-Cancellation Scheme for Memory Interfaces in 28nm CMOS.

, , , , , , , , und . ISSCC, Seite 240-242. IEEE, (2024)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Novel High-Order-Harmonic Toroidal Winding Design Approach for Double-Sided Vernier Reluctance Linear Machine., , und . IEEE Trans. Ind. Electron., 70 (10): 9823-9834 (Oktober 2023)Corrigendum: An overview of blockchain efficient interaction technologies., , , und . Frontiers Blockchain, (2023)Robust hierarchical sliding mode control for steer-by-wire equipped vehicle yaw stability control., , und . ASCC, Seite 239-243. IEEE, (2017)Phytoplankton Size Classes in the Global Ocean at Different Bathymetric Depths., , , , , und . IEEE Trans. Geosci. Remote. Sens., (2022)A 56-Gb/s PAM4 Receiver Analog Front-End With Fixed Peaking Frequency and Bandwidth in 40-nm CMOS., , , und . IEEE Trans. Circuits Syst. II Express Briefs, 68 (9): 3058-3062 (2021)Towards in vivo ground truth susceptibility for single-orientation deep learning QSM: A multi-orientation gradient-echo MRI dataset., , , , , und . NeuroImage, (2022)TextBFA: Arbitrary Shape Text Detection with Bidirectional Feature Aggregation., , , , und . ICONIP (8), Volume 1962 von Communications in Computer and Information Science, Seite 365-377. Springer, (2023)Progressive Multi-View Fusion for 3D Human Pose Estimation., , , , , , und . ICIP, Seite 1600-1604. IEEE, (2023)Adaptive Integral Terminal Sliding Mode Control for Automobile Electronic Throttle via an Uncertainty Observer and Experimental Validation., , , , , , , und . IEEE Trans. Vehicular Technology, 67 (9): 8129-8143 (2018)A 2 x 24Gb/s Single-Ended Transceiver with Channel-Independent Encoder-Based Crosstalk Cancellation in 28nm CMOS., , , , , , , und . A-SSCC, Seite 1-3. IEEE, (2023)