From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

Interpolation-Based Learning as a Mean to Speed-Up Bounded Model Checking (Short Paper)., , , , и . SEFM, том 10469 из Lecture Notes in Computer Science, стр. 382-387. Springer, (2017)Improving bit-level model checking algorithms for scalability through circuit-based reasoning.. Polytechnic University of Turin, Italy, (2017)base-search.net (ftpoltorinoiris:oai:iris.polito.it:11583/2680998).Reducing interpolant circuit size by ad-hoc logic synthesis and SAT-based weakening., , , , и . FMCAD, стр. 25-32. IEEE, (2016)Interpolation with guided refinement: revisiting incrementality in SAT-based unbounded model checking., , , и . Formal Methods Syst. Des., 60 (2): 117-146 (апреля 2022)Fast cone-of-influence computation and estimation in problems with multiple properties., , , , , , , и . DATE, стр. 803-806. EDA Consortium San Jose, CA, USA / ACM DL, (2013)To split or to group: from divide-and-conquer to sub-task sharing for verifying multiple properties in model checking., , , , , , и . Int. J. Softw. Tools Technol. Transf., 20 (3): 313-325 (2018)Test Time Minimization in Reconfigurable Scan Networks., , , и . ATS, стр. 119-124. IEEE Computer Society, (2016)Optimizing Binary Decision Diagrams for Interpretable Machine Learning Classification., , , , , и . DATE, стр. 1122-1125. IEEE, (2021)A 7/2-Approximation Algorithm for the Maximum Duo-Preservation String Mapping Problem., , , , , и . CPM, том 54 из LIPIcs, стр. 11:1-11:8. Schloss Dagstuhl - Leibniz-Zentrum für Informatik, (2016)Interpolation with Guided Refinement: Revisiting incrementality in SAT-based unbounded model checking., , и . FMCAD, стр. 43-50. IEEE, (2014)