Author of the publication

Blacklist Core: Machine-Learning Based Dynamic Operating-Performance-Point Blacklisting for Mitigating Power-Management Security Attacks.

, , , , and . ISLPED, page 5:1-5:6. ACM, (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

DIMCA: An Area-Efficient Digital In-Memory Computing Macro Featuring Approximate Arithmetic Hardware in 28 nm., , , , , , and . IEEE J. Solid State Circuits, 59 (3): 960-971 (March 2024)High-Accuracy Compressed Sensing Decoder Based on Adaptive (ℓ0, ℓ1) Complex Approximate Message Passing: Cross-layer Design., , , , and . IEEE Trans. Circuits Syst. I Regul. Pap., 63-I (10): 1726-1736 (2016)Register file circuits and post-deployment framework to monitor aging effects in field., , and . ESSCIRC, page 425-428. IEEE, (2016)High-Capacity Fingerprint Recognition System based on a Dynamic Memory-Capacity Estimation Technique., , , and . BioCAS, page 1-4. IEEE, (2018)A 0.5V 2.2pW 2-transistor voltage reference., , , and . CICC, page 577-580. IEEE, (2009)8.2 Fully integrated low-drop-out regulator based on event-driven PI control., and . ISSCC, page 148-149. IEEE, (2016)A 0.35V 1.3pJ/cycle 20MHz 8-bit 8-tap FIR core based on wide-pulsed-latch pipelines., , , , and . A-SSCC, page 129-132. IEEE, (2016)Cases for Analog Mixed Signal Computing Integrated Circuits for Deep Neural Networks., , , , and . VLSI-DAT, page 1-2. IEEE, (2019)Decoupling capacitor design strategy for minimizing supply noise of ultra low voltage circuits.. DAC, page 968-973. ACM, (2012)A fine-grained many VT design methodology for ultra low voltage operations.. ISLPED, page 161-166. ACM, (2012)