Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Using soft-edge flip-flops to compensate NBTI-induced delay degradation., , und . ACM Great Lakes Symposium on VLSI, Seite 169-172. ACM, (2009)Dynamic Management of Thermally-Induced Clock Skew: An Implementation Perspective., , , , , , und . PATMOS, Volume 4148 von Lecture Notes in Computer Science, Seite 214-224. Springer, (2006)Thermal-Aware Clock Tree Design to Increase Timing Reliability of Embedded SoCs., , , , , und . IEEE Trans. Circuits Syst. I Regul. Pap., 57-I (10): 2741-2752 (2010)Design Exploration of a Thermal Management Unit for Dynamic Control of Temperature-Induced Clock Skew., , , , , und . ISCAS, Seite 1061-1064. IEEE, (2007)Energy efficiency bounds of pulse-encoded buses., , und . ACM Great Lakes Symposium on VLSI, Seite 183-188. ACM, (2008)Dynamic thermal clock skew compensation using tunable delay buffers., , , , , , , und . ISLPED, Seite 162-167. ACM, (2006)Thermal resilient bounded-skew clock tree optimization methodology., , , , , und . DATE, Seite 832-837. European Design and Automation Association, Leuven, Belgium, (2006)Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits., , , , , , und . ISCAS, IEEE, (2006)