Author of the publication

Electromigration recovery modeling and analysis under time-dependent current and temperature stressing.

, , , , and . ASP-DAC, page 244-249. IEEE, (2016)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Lifetime optimization for real-time embedded systems considering electromigration effects., , , , , and . ICCAD, page 434-439. IEEE, (2014)Power Grid Fixing for Electromigration-induced Voltage Failures., , and . ICCAD, page 1-8. ACM, (2019)Physics-based Electromigration Assessment for Power Grid Networks., , , and . DAC, page 80:1-80:6. ACM, (2014)Electromigration Checking Using a Stochastic Effective Current Model., , and . ICCAD, page 5:1-5:8. IEEE, (2020)Control of design specific variation in etch-assisted via pattern transfer by means of full-chip simulation., , , , , , , , , and 1 other author(s). ISQED, page 156-161. IEEE Computer Society, (2009)Closed-form modeling of layout-dependent mechanical stress., , , , , and . DAC, page 673-678. ACM, (2010)A Unified Physics-Based Stochastic Model for EM-Induced Resistance Degradation in BEoL Interconnect Segments., , , , , and . IRPS, page 1-10. IEEE, (2024)Assesment of CPI Stress Impact on IC Reliability and Performance in 2.5D/3D Packages., , , and . IRPS, page 1-7. IEEE, (2019)Novel Methodology for Assessing Chip-Package Interaction Effects onChip Performance., , , , , , , , , and 6 other author(s). ISPD, page 83-89. ACM, (2022)Learning-based dynamic reliability management for dark silicon processor considering EM effects., , , , and . DATE, page 463-468. IEEE, (2016)