Author of the publication

Results on the Interface between Formal Verification and ATPG.

, , , , , and . CAV (DIMACS/AMS volume), volume 3 of DIMACS Series in Discrete Mathematics and Theoretical Computer Science, page 615-628. DIMACS/AMS, (1990)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Grouped zerotree wavelet image coding for very low bit rate., , , and . ICIP (3), page 261-264. IEEE, (2002)A banked-promotion translation lookaside buffer system., , , and . J. Syst. Archit., 47 (14-15): 1065-1078 (2002)In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem., , , and . DATE, page 1058-1063. IEEE, (2009)A new algorithm for the binate covering problem and its application to the minimization of Boolean relations., and . ICCAD, page 417-420. IEEE Computer Society / ACM, (1992)ATPG Aspects of FSM Verification., , , , , and . ICCAD, page 134-137. IEEE Computer Society, (1990)A 40-to-800MHz Locking Multi-Phase DLL., , , , , , and . ISSCC, page 306-605. IEEE, (2007)An Intelligent Cache System with Hardware Prefetching for High Performance., , , and . IEEE Trans. Computers, 52 (5): 607-616 (2003)Exact Calculation of Synchronization Sequences Based on Binary Decision Diagrams., , and . DAC, page 620-623. IEEE Computer Society Press, (1992)A Low Power TLB Structure for Embedded Systems., , , , and . IEEE Comput. Archit. Lett., (2002)Reducing Cache Pollution of Prefetching in a Small Data Cache., , , , and . ICCD, page 530-533. IEEE Computer Society, (2001)