Author of the publication

A "New Ara" for Vector Computing: An Open Source Highly Efficient RISC-V V 1.0 Vector Processor Design.

, , , , , and . ASAP, page 43-51. IEEE, (2022)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Ara2: Exploring Single- and Multi-Core Vector Processing with an Efficient RVV1.0 Compliant Open-Source Processor., , , , and . CoRR, (2023)Near-Memory Parallel Indexing and Coalescing: Enabling Highly Efficient Indirect Access for SpMV., , , , and . CoRR, (2023)Darkside: 2.6GFLOPS, 8.7mW Heterogeneous RISC-V Cluster for Extreme-Edge On-Chip DNN Inference and Training., , , , , , , and . ESSCIRC, page 273-276. IEEE, (2022)MX: Enhancing RISC-V's Vector ISA for Ultra-Low Overhead, Energy-Efficient Matrix Multiplication., , , , and . DATE, page 1-6. IEEE, (2024)AXI-Pack: Near-Memory Bus Packing for Bandwidth-Efficient Irregular Workloads., , , , and . DATE, page 1-6. IEEE, (2023)Near-Memory Parallel Indexing and Coalescing: Enabling Highly Efficient Indirect Access for SpMV., , , , and . DATE, page 1-6. IEEE, (2024)Quark: An Integer RISC-V Vector Processor for Sub-Byte Quantized DNN Inference., , , , , , , , , and 1 other author(s). ISCAS, page 1-5. IEEE, (2023)A "New Ara" for Vector Computing: An Open Source Highly Efficient RISC-V V 1.0 Vector Processor Design., , , , , and . ASAP, page 43-51. IEEE, (2022)RVfplib: A Fast and Compact Open-Source Floating-Point Emulation Library for Tiny RISC-V Processors., , , , and . SAMOS, volume 13227 of Lecture Notes in Computer Science, page 16-32. Springer, (2021)Spatz: A Compact Vector Processing Unit for High-Performance and Energy-Efficient Shared-L1 Clusters., , , , and . ICCAD, page 22:1-22:9. ACM, (2022)