Author of the publication

Leveraging Power-Performance Relationship of Energy-Efficient Modern DRAM Devices.

, , , , , and . IEEE Access, (2018)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 7.5 Gb/s/pin 8-Gb LPDDR5 SDRAM With Various High-Speed and Low-Power Techniques., , , , , , , , , and 7 other author(s). IEEE J. Solid State Circuits, 55 (1): 157-166 (2020)Scalable high-radix router microarchitecture using a network switch organization., , and . ACM Trans. Archit. Code Optim., 10 (3): 17:1-17:25 (2013)SOUP-N-SALAD: Allocation-Oblivious Access Latency Reduction with Asymmetric DRAM Microarchitectures., , , , , , and . HPCA, page 517-528. IEEE Computer Society, (2017)CiDRA: A cache-inspired DRAM resilience architecture., , , , , and . HPCA, page 502-513. IEEE Computer Society, (2015)Understanding power-performance relationship of energy-efficient modern DRAM devices., , , , , and . IISWC, page 110-111. IEEE Computer Society, (2017)Chameleon: Versatile and practical near-DRAM acceleration architecture for large memory systems., , , and . MICRO, page 50:1-50:13. IEEE Computer Society, (2016)CIDR: A Cache Inspired Area-Efficient DRAM Resilience Architecture against Permanent Faults., , , , and . IEEE Comput. Archit. Lett., 14 (1): 17-20 (2015)SALAD: Achieving Symmetric Access Latency with Asymmetric DRAM Architecture., , , , and . IEEE Comput. Archit. Lett., 16 (1): 76-79 (2017)Defect Analysis and Cost-Effective Resilience Architecture for Future DRAM Devices., , , , , , , , , and 2 other author(s). HPCA, page 61-72. IEEE Computer Society, (2017)Row-buffer decoupling: A case for low-latency DRAM microarchitecture., , , and . ISCA, page 337-348. IEEE Computer Society, (2014)