Author of the publication

An architectural co-synthesis algorithm for energy-aware network-on-chip design.

, , , , and . SAC, page 680-684. ACM, (2007)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs., , , and . RACS, page 430-436. ACM, (2015)Cache leakage control mechanism for hard real-time systems., , , and . CASES, page 248-256. ACM, (2007)Thermal-aware task and data co-allocation for multi-processor system-on-chips with 3D-stacked memories., , and . RACS, page 243-248. ACM, (2018)A New Modulo (2n+1) Multiplier for IDEA., , and . Security and Management, page 318-324. CSREA Press, (2004)PM-COSYN: PE and memory co-synthesis for MPSoCs., , and . DATE, page 1590-1595. IEEE Computer Society, (2010)Tensor Movement Orchestration in Multi-GPU Training Systems., , , and . HPCA, page 1140-1152. IEEE, (2023)An architectural co-synthesis algorithm for energy-aware network-on-chip design., , , , and . SAC, page 680-684. ACM, (2007)Branch Behavior Characterization for Multimedia Applications., , and . Asia-Pacific Computer Systems Architecture Conference, volume 4186 of Lecture Notes in Computer Science, page 523-530. Springer, (2006)Scenario-aware data placement and memory area allocation for Multi-Processor System-on-Chips with reconfigurable 3D-stacked SRAMs., , , and . DATE, page 1-6. European Design and Automation Association, (2014)PUMP: Profiling-free Unified Memory Prefetcher for Large DNN Model Support., , , , and . ASP-DAC, page 122-127. IEEE, (2022)