Author of the publication

vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design.

, , , , and . MICRO, page 18:1-18:13. IEEE Computer Society, (2016)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

Computer Architectures for Mobile Computer Vision Systems.. University of Michigan, USA, (2013)GA3C: GPU-based A3C for Deep Reinforcement Learning., , , , and . CoRR, (2016)MVSS: Michigan Visual Sonification System., , , , and . ESPA, page 143-146. IEEE, (2012)Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture., , , , , , , , , and 7 other author(s). MICRO, page 14-27. ACM, (2019)vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design., , , , and . MICRO, page 18:1-18:13. IEEE Computer Society, (2016)Augmenting Legacy Networks for Flexible Inference., , , , and . IV, page 1-8. IEEE, (2023)Buffets: An Efficient and Composable Storage Idiom for Explicit Decoupled Data Orchestration., , , , , , , , and . ASPLOS, page 137-151. ACM, (2019)A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm., , , , , , , , , and 7 other author(s). IEEE J. Solid State Circuits, 55 (4): 920-932 (2020)A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications., , , , , and . DAC, page 95:1-95:6. ACM, (2016)A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm., , , , , , , , , and 7 other author(s). VLSI Circuits, page 300-. IEEE, (2019)