Author of the publication

A high-performance CABAC encoder architecture for HEVC and H.264/AVC.

, , , and . ICIP, page 1568-1572. IEEE, (2013)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A High Performance HEVC De-Blocking Filter and SAO Architecture for UHDTV Decoder., , and . IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 96-A (12): 2612-2622 (2013)A High Performance and Low Bandwidth Multi-Standard Motion Compensation Design for HD Video Decoder., , , , , and . IEICE Trans. Electron., 93-C (3): 253-260 (2010)Low-Power Motion Estimation Processor with 3D Stacked Memory., , , , and . IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 98-A (7): 1431-1441 (2015)Alternating asymmetric search range assignment for bidirectional motion estimation in H.265/HEVC and H.264/AVC., , and . J. Vis. Commun. Image Represent., 25 (5): 1275-1286 (2014)Interlaced asymmetric search range assignment for bidirectional motion estimation., , and . ICIP, page 1557-1560. IEEE, (2012)An area-efficient 4/8/16/32-point inverse DCT architecture for UHDTV HEVC decoder., , , , and . VCIP, page 197-200. IEEE, (2014)An independent bandwidth reduction device for HEVC VLSI video system., , , , and . ISCAS, page 609-612. IEEE, (2015)A High Parallelism LDPC Decoder with an Early Stopping Criterion for WiMax and WiFi Application., , , , and . IPSJ Trans. Syst. LSI Des. Methodol., (2010)High-Performance H.264/AVC Intra-Prediction Architecture for Ultra High Definition Video Applications., , , , , and . IEEE Trans. Very Large Scale Integr. Syst., 22 (1): 76-89 (2014)A Low-Power VLSI Architecture for HEVC De-Quantization and Inverse Transform., , , and . IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 99-A (12): 2375-2387 (2016)