Autor der Publikation

Concurrent Irrevocability in Best-Effort Hardware Transactional Memory.

, , , und . IEEE Trans. Parallel Distributed Syst., 31 (6): 1301-1315 (2020)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

Wrong-Path-Aware Entangling Instruction Prefetcher., und . IEEE Trans. Computers, 73 (2): 548-559 (Februar 2024)Compiler-Assisted Compaction/Restoration of SIMD Instructions., , , , , , und . IEEE Trans. Parallel Distributed Syst., 33 (4): 779-791 (2022)Speculative inter-thread store-to-load forwarding in SMT architectures., , , und . J. Parallel Distributed Comput., (März 2023)Analysing software prefetching opportunities in hardware transactional memory., , , , , , und . J. Supercomput., 78 (1): 919-944 (2022)Exploring Instruction Fusion Opportunities in General Purpose Processors., , , und . MICRO, Seite 199-212. IEEE, (2022)Characterization of a List-Based Directory Cache Coherence Protocol for Manycore CMPs., , und . Euro-Par Workshops (2), Volume 8806 von Lecture Notes in Computer Science, Seite 254-265. Springer, (2014)A new perspective for efficient virtual-cache coherence., und . ISCA, Seite 535-546. ACM, (2013)Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks., , , , und . ISCA, Seite 93-104. ACM, (2011)Efficient invisible speculative execution through selective delay and value prediction., , , , und . ISCA, Seite 723-735. ACM, (2019)Non-Speculative Store Coalescing in Total Store Order., und . ISCA, Seite 221-234. IEEE Computer Society, (2018)