Author of the publication

A 0.034mm2, 725fs RMS jitter, 1.8%/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital PLL in 10nm FinFET CMOS.

, , , , , , , , , , , , and . VLSI Circuits, page 1-2. IEEE, (2016)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 56Gb/s Long Reach Fully Adaptive Wireline PAM-4 Transceiver in 7nm FinFET., , , , , , , , , and 5 other author(s). VLSI Circuits, page 270-. IEEE, (2019)A Cost-Effective On-Chip Power Impedance Measurement (PIM) System in 7nm FinFET for HPC Applications., , , , , and . VLSI Circuits, page 1-2. IEEE, (2021)A Digital Bang-Bang Phase-Locked Loop with Background Injection Timing Calibration and Automatic Loop Gain Control in 7NM FinFET CMOS., , , , , and . VLSI Circuits, page 179-180. IEEE, (2018)A 387.6fs Integrated Jitter and -80dBc Reference Spurs Ring based PLL with Track- and-Hold Charge Pump and Automatic Loop Gain Control in 7nm FinFET CMOS., , , , , and . VLSI Circuits, page 164-. IEEE, (2019)19.6 A 0.2V trifilar-coil DCO with DC-DC converter in 16nm FinFET CMOS with 188dB FOM, 1.3kHz resolution, and frequency pushing of 38MHz/V for energy harvesting applications., , , , , , , and . ISSCC, page 332-333. IEEE, (2017)A 0.031mm2, 910fs, 0.5-4GHz injection type SOC PLL with 90dB built-in supply noise rejection in 10nm FinFET CMOS., , , , and . CICC, page 1-4. IEEE, (2017)A 0.034mm2, 725fs RMS jitter, 1.8%/V frequency-pushing, 10.8-19.3GHz transformer-based fractional-N all-digital PLL in 10nm FinFET CMOS., , , , , , , , , and 3 other author(s). VLSI Circuits, page 1-2. IEEE, (2016)A 201 mV/pH, 375 fps and 512×576 CMOS ISFET sensor in 65nm CMOS technology., , , , , , , , and . CICC, page 1-4. IEEE, (2015)A Low-Power 0.5-6.6 Gb/s Wireline Transceiver Embedded in Low-Cost 28 nm FPGAs., , , , , , , , , and 4 other author(s). IEEE J. Solid State Circuits, 48 (11): 2582-2594 (2013)Design of high-speed wireline transceivers for backplane communications in 28nm CMOS., , , , , , , , , and 1 other author(s). CICC, page 1-4. IEEE, (2012)