Author of the publication

25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV.

, , , , , , , , , , , , , , , , and . ISSCC, page 432-433. IEEE, (2014)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

A 1.1V 1ynm 6.4Gb/s/pin 16Gb DDR5 SDRAM with a Phase-Rotator-Based DLL, High-Speed SerDes and RX/TX Equalization Scheme., , , , , , , , , and 25 other author(s). ISSCC, page 380-382. IEEE, (2019)Group Dancing Mobile Flower Robots with Moving Mechanism, Mobility and Localization Functions., , , , and . ISR/ROBOTIK, page 1-6. VDE Verlag, (2010)Design considerations of HBM stacked DRAM and the memory architecture extension., , , , , , and . CICC, page 1-8. IEEE, (2015)A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and OA-DCC for DRAM interface., , , , , , , , , and 6 other author(s). ISCAS, page 3861-3864. IEEE, (2010)18.3 A 1.2V 64Gb 8-channel 256GB/s HBM DRAM with peripheral-base-die architecture and small-swing technique on heavy load interface., , , , , , , , , and 12 other author(s). ISSCC, page 318-319. IEEE, (2016)High bandwidth memory(HBM) with TSV technique., , , , , , , , , and 9 other author(s). ISOCC, page 181-182. IEEE, (2016)25.2 A 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory (HBM) stacked DRAM with effective microbump I/O test methods using 29nm process and TSV., , , , , , , , , and 7 other author(s). ISSCC, page 432-433. IEEE, (2014)