Autor der Publikation

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support., , , , , , , , , und 3 andere Autor(en). ISLPED, Seite 34:1-34:6. ACM, (2018)FARM: A Flexible Accelerator for Recurrent and Memory Augmented Neural Networks., , , , , und . J. Signal Process. Syst., 92 (11): 1247-1261 (2020)Achieving Crash Consistency by Employing Persistent L1 Cache., , , und . DATE, Seite 1407-1412. IEEE, (2022)Look-Up Table based Energy Efficient Processing in Cache Support for Neural Network Acceleration., , , , , , , und . MICRO, Seite 88-101. IEEE, (2020)CAPE: A Content-Addressable Processing Engine., , , , , , , , und . HPCA, Seite 557-569. IEEE, (2021)Trends and Opportunities for SRAM Based In-Memory and Near-Memory Computation., , , , , , , , , und . ISQED, Seite 547-552. IEEE, (2021)IMC-Sort: In-Memory Parallel Sorting Architecture using Hybrid Memory Cube., , , und . ACM Great Lakes Symposium on VLSI, Seite 45-50. ACM, (2020)Integrated CAM-RAM Functionality using Ferroelectric FETs., , , , , , und . ISQED, Seite 81-86. IEEE, (2020)Recent Advances in Compute-in-Memory Support for SRAM Using Monolithic 3-D Integration., , , , und . IEEE Micro, 39 (6): 28-37 (2019)Programmable Non-Volatile Memory Design Featuring Reconfigurable In-Memory Operations., , , , und . ISCAS, Seite 1-5. IEEE, (2019)