Author of the publication

TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, Tiny Versatile System-on-chip with State-Retentive eMRAM for Machine Learning Inference at the Extreme Edge.

, , , , , and . VLSI Technology and Circuits, page 20-21. IEEE, (2022)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

DIANA: An End-to-End Energy-Efficient Digital and ANAlog Hybrid Neural Network SoC., , , , , , , , , and 6 other author(s). ISSCC, page 1-3. IEEE, (2022)TinyVers: A Tiny Versatile System-on-chip with State-Retentive eMRAM for ML Inference at the Extreme Edge., , , , , and . CoRR, (2023)TinyVers: A 0.8-17 TOPS/W, 1.7 μW-20 mW, Tiny Versatile System-on-chip with State-Retentive eMRAM for Machine Learning Inference at the Extreme Edge., , , , , and . VLSI Technology and Circuits, page 20-21. IEEE, (2022)Leveraging Compiler Support on VLIW Processors for Efficient Power Gating., , , and . ISVLSI, page 502-507. IEEE Computer Society, (2016)Efficient Execution of Temporal Convolutional Networks for Embedded Keyword Spotting., , and . IEEE Trans. Very Large Scale Integr. Syst., 29 (12): 2220-2228 (2021)Efficient Keyword Spotting through Hardware-Aware Conditional Execution of Deep Neural Networks., , and . AICCSA, page 1-8. IEEE Computer Society, (2019)Evaluation of energy savings on a VLIW processor through dynamic issue-width adaptation., , , , and . RSP, page 11-17. IEEE, (2015)18μW SoC for near-microphone Keyword Spotting and Speaker Verification., , , , and . VLSI Circuits, page 52-. IEEE, (2019)Laika: A 5uW Programmable LSTM Accelerator for Always-on Keyword Spotting in 65nm CMOS., and . ESSCIRC, page 166-169. IEEE, (2018)