Autor der Publikation

5.6 Mb/mm2 1R1W 8T SRAM Arrays Operating Down to 560 mV Utilizing Small-Signal Sensing With Charge Shared Bitline and Asymmetric Sense Amplifier in 14 nm FinFET CMOS Technology.

, , , , , , und . IEEE J. Solid State Circuits, 52 (1): 229-239 (2017)

Bitte wählen Sie eine Person um die Publikation zuzuordnen

Um zwischen Personen mit demselben Namen zu unterscheiden, wird der akademische Grad und der Titel einer wichtigen Publikation angezeigt. Zudem lassen sich über den Button neben dem Namen einige der Person bereits zugeordnete Publikationen anzeigen.

 

Weitere Publikationen von Autoren mit dem selben Namen

A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM., , und . ISLPED, Seite 171-176. ACM, (2007)A novel slope detection technique for robust STTRAM sensing., , und . ISLPED, Seite 7-12. IEEE, (2015)Fine-Grained Electromagnetic Side-Channel Analysis Resilient Secure AES Core with Stacked Voltage Domains and Spatio-temporally Randomized Circuit Blocks., , , , , und . ESSCIRC, Seite 529-532. IEEE, (2022)30.6 Vecim: A 289.13GOPS/W RISC-V Vector Co-Processor with Compute-in-Memory Vector Register File for Efficient High-Performance Computing., , , und . ISSCC, Seite 492-494. IEEE, (2024)Physical Design Strategies for Mitigating Fine-Grained Electromagnetic Side-Channel Attacks., , , , , , , , und . CICC, Seite 1-2. IEEE, (2021)Statistical Analysis of 2T1R Gain-Cell RRAM Bitcell for Area Efficient, High-Performance, and Reliable Multi-level Cell Operation., , und . DRC, Seite 1-2. IEEE, (2022)Experimental demonstration of sub-nanosecond switching in 2D hexagonal Boron Nitride resistive memory devices., , , , , , , , und . DRC, Seite 1-2. IEEE, (2022)8.6 Enabling wide autonomous DVFS in a 22nm graphics execution core using a digitally controlled hybrid LDO/switched-capacitor VR with fast droop mitigation., , , , , , , , , und 2 andere Autor(en). ISSCC, Seite 1-3. IEEE, (2015)Neural Network Assisted Compact Model for Accurate Characterization of Cycle-to-cycle Variations in 2-D $h$-BN based RRAM devices., , , , und . DRC, Seite 103-104. IEEE, (2019)Variation-tolerant ultra low-power heterojunction tunnel FET SRAM design., , , und . NANOARCH, Seite 45-52. IEEE Computer Society, (2011)