From post

A Critical Assessment of DRAM-PIM Architectures - Trends, Challenges and Solutions.

, , , , и . SAMOS, том 13511 из Lecture Notes in Computer Science, стр. 362-379. Springer, (2022)

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

Efficient Generation of Application Specific Memory Controllers., , , , , , , , и . MEMSYS, стр. 233-247. ACM, (2020)Channel Models for Physical Unclonable Functions based on DRAM Retention Measurements., , , , , , и . REDUNDANCY, стр. 149-154. IEEE, (2019)Optimization of DRAM based PIM Architecture for Energy-Efficient Deep Neural Network Training., , , и . ISCAS, стр. 1472-1476. IEEE, (2022)Efficient coding scheme for DDR4 memory subsystems., , , , , , и . MEMSYS, стр. 148-157. ACM, (2018)Addressing the Combined Effect of Transistor and Interconnect Aging in SRAM towards Silicon Lifecycle Management., , , , , , , , и . VTS, стр. 1-5. IEEE, (2024)A Novel DRAM-Based Process-in-Memory Architecture and its Implementation for CNNs., , , , , , , и . ASP-DAC, стр. 35-42. ACM, (2021)A Lean, Low Power, Low Latency DRAM Memory Controller for Transprecision Computing., , , , , и . SAMOS, том 11733 из Lecture Notes in Computer Science, стр. 429-441. Springer, (2019)An In-DRAM Neural Network Processing Engine., , , , , , и . ISCAS, стр. 1-5. IEEE, (2019)Improving the error behavior of DRAM by exploiting its Z-channel property., , , , , и . DATE, стр. 1492-1495. IEEE, (2018)Fast validation of DRAM protocols with timed petri nets., , , , , и . MEMSYS, стр. 133-147. ACM, (2019)