From post

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed.

 

Другие публикации лиц с тем же именем

A 4×9 Gb/s 1pJ/b Hybrid NRZ/Multi-Tone I/O With Crosstalk and ISI Reduction for Dense Interconnects., , и . IEEE J. Solid State Circuits, 51 (4): 992-1002 (2016)A Time-Division Multiplexing Signaling Scheme for Inter-Symbol/Channel Interference Reduction in Low-Power Multi-Drop Memory Links., , , , и . IEEE Trans. Circuits Syst. II Express Briefs, 64-II (12): 1387-1391 (2017)An Orthogonal Pulse Amplitude Modulation Signaling for High-Speed Wireline Communications., и . ISCAS, стр. 1-5. IEEE, (2023)Short-Reach and Pin-Efficient Interfaces Using Correlated NRZ., , , , , , , , , и 11 other автор(ы). CICC, стр. 1-8. IEEE, (2020)A 1.02-pJ/b 20.83-Gb/s/Wire USR Transceiver Using CNRZ-5 in 16-nm FinFET., , , , , , , , , и 18 other автор(ы). IEEE J. Solid State Circuits, 55 (4): 1108-1123 (2020)A Method for Noise Reduction in Active-RC Circuits., и . IEEE Trans. Circuits Syst. II Express Briefs, 58-II (12): 906-910 (2011)A 4×9 Gb/s 1 pJ/b NRZ/multi-tone serial-data transceiver with crosstalk reduction architecture for multi-drop memory interfaces in 40nm CMOS., , и . VLSIC, стр. 180-. IEEE, (2015)A wideband MDLL with jitter reduction scheme for forwarded clock serial links in 40 nm CMOS., , и . NEWCAS, стр. 1-4. IEEE, (2016)A 1.02pJ/b 417Gb/s/mm USR Link in 16nm FinFET., , , , , , , , , и 20 other автор(ы). VLSI Circuits, стр. 92-. IEEE, (2019)Hybrid NRZ/Multi-Tone Serial Data Transceiver for Multi-Drop Memory Interfaces., , и . IEEE J. Solid State Circuits, 50 (12): 3133-3144 (2015)