Author of the publication

Please choose a person to relate this publication to

To differ between persons with the same name, the academic degree and the title of an important publication will be displayed. You can also use the button next to the name to display some publications already assigned to the person.

 

Other publications of authors with the same name

0.39-V, 18.26-µW/MHz SOTB CMOS Microcontroller with embedded atom switch ROM., , , , , , , , , and 8 other author(s). COOL Chips, page 1-3. IEEE Computer Society, (2015)Architecture of Reconfigurable-Logic Cell Array with Atom Switch: Cluster Size & Routing Fabrics (Abstract Only)., , , , , , , , , and . FPGA, page 269. ACM, (2015)28nm Atom-Switch FPGA: Static Timing Analysis and Evaluation., , , , , , , , , and 1 other author(s). IEICE Trans. Electron., 105-C (10): 627-630 (October 2022)A 2× logic density Programmable Logic array using atom switch fully implemented with logic transistors at 40nm-node and beyond., , , , , , , , , and 2 other author(s). VLSI Circuits, page 1-2. IEEE, (2016)ON-state retention of Atom Switch eNVM for IoT/AI Inference Solution., , , , , , , , , and 2 other author(s). IRPS, page 1-4. IEEE, (2020)Sub-μW standby power, <18 μW/DMIPS@25MHz MCU with embedded atom-switch programmable logic and ROM., , , , , , , , , and . VLSIC, page 86-. IEEE, (2015)A chip-stacked memory for on-chip SRAM-rich SoCs and processors., , , , , , , , and . ISSCC, page 60-61. IEEE, (2009)Via-Switch FPGA: 65-nm CMOS Implementation and Evaluation., , , , , , , , , and 1 other author(s). IEEE J. Solid State Circuits, 57 (7): 2250-2262 (2022)0.5-V Highly Power-Efficient Programmable Logic using Nonvolatile Configuration Switch in BEOL., , , , , , , and . FPGA, page 236-239. ACM, (2015)Low-power programmable-logic cell arrays using nonvolatile complementary atom switch., , , , , , and . ISQED, page 330-334. IEEE, (2014)